军民两用技术与产品
主办单位:中国航天科技集团公司
国际刊号:1009-8119
国内刊号:11-4538/V
学术数据库优秀期刊 《中文科技期刊数据库》来源期刊
       首 页   |   期刊介绍   |   新闻公告   |   征稿要求   |   期刊订阅   |   留言板   |   联系我们   
  本站业务
  在线期刊
      最新录用
      期刊简明目录
      本刊论文精选
      过刊浏览
      论文下载排行
      论文点击排行
      
 

访问统计

访问总数:131706 人次
 
    本刊论文
CPLD技术在传感器接收端的应用


摘  要本文针对光电探测系统的TTL脉冲作了可编程逻辑技术CPLD的处理;实现了脉冲的实时控制、噪声滤除、可编程延时等功能,使信号最优化地符合同步信号的要求。

关键词:光电探测系统;CPLD技术;可编程延时

 

Application of Complex Programmable Logic Device Technology

on Receiving Module of Sensor


Abstract: The application of complex programmable logic device technology on receiving module of the sensor, is introduced in this paper. An opto-electronic detecting system has been developed and Standard TTL pulse signals are obtained by using amplifying and reshaping circuits. CPLD and MCU technologies were applied to implement real-time control, noise filtering and programmable time-delay of TTL pulse. As a result, the signals have been optimized to fulfill the requests of time-interval measurement, and the precision of the system has also been improved.

Key words: opto-electronic detecting system; complex programmable logic device technology; programmable time-delay

1 引言

可编程逻辑器件(CPLD)在信号处理领域的应用非常活跃,本文主要讨论在光电探测系统传感器的接收端的CPLD应用[1],将CPLD与单片机相结合,实现脉冲的滤波、可编程延时、实时控制。

2 信号的探测与放大

光电探测系统选定Agilent的HFBR-2406系列光探测器,在电路设计中采用施密特触发器以满足光探测器输出电压大范围的变化,同时又对脉冲进行了较好的整形[2]

电路模块框图共三个电路单元:第一个模块是光电转换器件内部集成的前置放大电路,第二个模块的主要功能是限幅放大,第三个模块对信号进行简单整形,以输出标准电平的逻辑信号。

在实际的稳定放大增益模块采用了集成运放10H159来实现,采用差分放大技术,有效地抑制共模信号,内部集成了施密特触发器,起到脉冲鉴幅作用。芯片内由三个相似的放大单元组成。为了减小级联所带来的自激振荡,电路设计中主要用到了耦合电容、退耦电容、旁路电容和容纳电容四类电容。

3 利用CPLD实现脉冲整形、延时及滤波

经模拟电路处理后的TTL脉冲包含严重的干扰及噪声信号,很难直接滤除,需要针对系统利用单片机作延时控制,因此,特选定可编程专用集成电路CPLD来实现脉冲的整形、延时及滤波。

将光电探测处理后的输入信号送入CPLD,在CPLD中先对脉冲进行整形, CPLD的工作状态由单片机控制,在设计中作了冗余设计[3],将每一路脉冲信号一分为二,另外,设置两路检定信号CAL1、CAL2,当没有光信号输入时切换至检定状态。图1给出了描述CPLD的工作时序流程图:

使能端信号来临时,对单片机传输过来的CLK作滤波处理,主要思想为:将CLK先赋值给寄存器CLK-0,再赋值给CLK-1,在寄存器CLK-2中将前三个寄存器的数据(CLK、CLK-0、CLK-1)相与,再赋值给CLK-3,最后上升沿检测信号In_Clk_Edge由CLK-3取反和CLK-2相与得到。该检测信号具备了与CLK周期相同但脉冲宽度只有一个系统时钟周期的特性。因此,In_Clk_Edge滤除了原本CLK的抖动和毛刺,得到良好的脉冲波形。

当检测到上升沿信号来临时,从单片机依次读取两个火花基数数据、两个脉宽、工作模式几个参数。完成参数数据读入后,将re-re信号置高。下面具体描述工作中的两个重要状态机。状态机state_a:当In-S1有效时,状态state_a0将火花基数参数DCounter和脉冲宽度数据MK调入寄存器,进行写操作,并且跳转到状态state_a1;状态state_a1中通过DCounter确定输出脉冲上升沿时间,产生输出脉冲信号高电平的位置——启始位置;状态state_a2中通过MK确定输出脉冲下降沿时间,产生输出脉冲信号高电平的宽度——脉宽。状态机state_c:当In-S2有效时,将信号指示灯参数有效,点亮信号灯,同时输出一个宽度为212个系统采样时钟周期,并且输出至单片机接口,用于指示系统的工作模式。可见,单片机的输入数据能有效控制测速脉冲的启始时间和脉冲宽度,实现延时的功能。

4 实验验证

在Modelsim开发环境下,实现功能仿真。下面对模拟的一个激励的功能仿真举例描述:设置系统时钟频率为100 MHz,即半周期为5 ns的连续脉冲。输入模拟信号S1-A、S1-B,宽度都为200000 ns的脉冲,单片机控制信号设置为“74’,表示工作模式选择“11”,火花基数1和火花基数2为(2-4),输出的两个脉冲宽度都为4*2个时钟周期。经过Modelsim工具里的编译、读取等一系列操作后,可在仿真图形中看出,状态state-a在输入脉冲信号时翻转,同时state-c得到一时钟脉冲,Lamp1点亮,传至单片机的信号SP-1输出时钟脉冲,在经历所需的延时后,输出测速脉冲CD-S1、CD-S2,并且脉冲宽度都为8个时钟周期。可见,很好的验证了逻辑硬件设计,各状态有效转换,实现可控制工作模式、延迟时间及脉冲宽度的功能。

5 结论

本文对探测的光电脉冲信号进行滤波、延时处理,滤除了原有脉冲包含的干扰及噪声,可编程延时克服了同步脉冲受器件固有因素影响引起的延时偏差,经实验证明提高了系统的可靠性和稳定性。

     

[ 1 ]  基于CPLD技术实现数字滤波.电声技术.2004.NO.4:34~36,40

[2]  王林涛,李开成等. 低噪声光电检测电路的设计和噪声估算. 武汉理工大学学报:信息与管理工程版,2001,Vol.23,No.3:16~18.

[3]  何其锐等.利用单片机和CPLD实现高速信号发生器.电子器件. 2006,Vol.29,No.1:197~200

 



特别说明:本站仅协助已授权的杂志社进行在线杂志订阅,非《军民两用技术与产品》杂志官网,直投的朋友请联系杂志社。
版权所有 © 2009-2024《军民两用技术与产品》编辑部  (权威发表网)   苏ICP备20026650号-8